From 92a9e7f9dc78c3e4a305d905a7e819292801692b Mon Sep 17 00:00:00 2001 From: mrodrigu Date: Sun, 15 Mar 2009 23:22:29 +0000 Subject: [PATCH] First version of reference data for ACORDE. We put calib data and also the first version of RecoParams. We added ../OCDB/ACORDE/Config to store params of configuration for ACORDE's modules (efficiency, rate and activity per module) --- .../ACORDE/Calib/Data/Run0_9999999_v0_s0.root | Bin 2464 -> 5512 bytes .../Calib/RecoParam/Run0_999999999_v0_s0.root | Bin 0 -> 2865 bytes .../Config/Efficiency/Run0_9999999_v0_s0.root | Bin 0 -> 5377 bytes .../ModulesActivity/Run0_9999999_v0_s0.root | Bin 0 -> 5557 bytes .../Config/Rates/Run0_9999999_v0_s0.root | Bin 0 -> 5371 bytes 5 files changed, 0 insertions(+), 0 deletions(-) create mode 100644 OCDB/ACORDE/Calib/RecoParam/Run0_999999999_v0_s0.root create mode 100644 OCDB/ACORDE/Config/Efficiency/Run0_9999999_v0_s0.root create mode 100644 OCDB/ACORDE/Config/ModulesActivity/Run0_9999999_v0_s0.root create mode 100644 OCDB/ACORDE/Config/Rates/Run0_9999999_v0_s0.root diff --git a/OCDB/ACORDE/Calib/Data/Run0_9999999_v0_s0.root b/OCDB/ACORDE/Calib/Data/Run0_9999999_v0_s0.root index 9d56ec0a18b93eb9bd1ae09371d95bc7ab487197..196f8e143f56b418d2fc3350382153e3bcc1f99c 100644 GIT binary patch literal 5512 zcmcI|RZv_})9oM`Bv^0)!CeOl4jJ4TEXd%4yACeFJ-7yULU2NmV1Wtl8X&j^osdBA zpX7V^@7uli;oDVRr>pkvK5KQKu6=5`ySR7)0Hf*v0KgIeAc=l7>_6=JTi}4 zFdkWRPjenExHHI9A#T^@Z>N4e;fdS>PPs4kM@rT06=W|e=82k z+20zV8~lss|62nT+rRNo9~nM!lXLcT_x(S808|yT83kZ~4)8(oFf+rlGDDZ9HA97A z0Ik12fY-B95B85}wl{y|W~F9tr|73(rVzr++|A4;L+s3e_UjlN(U;y{Zm3O@R~Jv> z>JnMSk#G*p`!d<@i9JH(UIY%*?Ixa*wST^pad*64&98d()dW(yw2GzJQAgB(U*(6X z`W)v1*wgw6(Ed_>i;`SnJlE>f%Fq^HRn`pZtdJB)3;GPUe$yY~*LyuZJ$=;I=6D>J zkkGs728L6Z;xDtb*P&HEIY5ed%y<1LiV8%V{IGp!Waa@D7{p8RrTs`N0q*Fv4qX8d zT_#R7`vX6sF>+(gqBY|8w{Yph^!zHs?|~7`f@X&csfmlIWI%9$AmsI zKFi^vVD4=WMI<=CafpA;5QD!#=~!QKkyHwZyhb^)e3JAL+wMvZn;;SJooTQK#}kzR6c&IA z1R!u~xc-gHW4{G6|MeUEx8Hw<2w?d{N7c^56Gz+A-OAj_%3aCX+J#Wo1rBrcrFFD( zcCfO1e0X?1HouDikSX}s{4qm+N2&qeg5?<`JdITof5eM2@x?$nE0q3VHX={oB*kX_ zgPayK#KmxW^_aVDe)Yu88^V~^-_|-da=;(R=tM|tJB5)%%Bn#=W-1j@3=b(}jlI_- z#?>eUYnFnO1;LsEa_{cDTrS>r>~(CtD5<1?dAXcg?+LA0bX|41M7I0vX3DBtqQ}aU zr4hAHc3n%PZTb=G%OkKe#FxqbTkaS#ECtG8y2{DFiAbpImzHFVYh-her zxb`>JU$;p$=t+znjoqzLiO)`rv7Zr!sw>?0Ya zWlZ~*)|^HQX;N;zr*21DgDYOMycD1{@PQZIp`Nr%x^^Or#NHjj7rXXFyzS?;HcF>a z4Yq6!vXas)#3t$J@QSIy$NE5SZr`afMH>-bn3g(`Qh%<*sq6+ag-GewNe*9pd?o2H z*DKJ}1GznqMj>~HiH)kts@-luYoy4_Z{-Aqke!1ng zY%N?Fv*FFsN5+cZzxBNirDr1acj-!ZPo-|;mc54G?i5ANo`zLEL|&WgXo<8}46hd- zQYvd8T5rz(9^F1kEMsk6h_8GIa_PS_*$m=w?LJkx@Lx2!7|U^p@Yoq_JCqeeEh|Xw z){6RRF{%<@--D@;iYao#R7nnx)BRo%T>EEQHH7&Nx^e))#)U+99kE`l9hS8&kevKx zI{9tsUdeFbK9-Ps@83mr`FU7^$8Cbm;oYaWn}g?^BLQ8tA10T@>!y{9W=(D{Kbg?B z^tzb|hpjQrXxW9O{Ara61Dx%y>`yQz)m{n3zoZVnITl%&w>AUUJRe%`R*R94`0*S& z69+9Wr-+F#ueR_@rYkZLUPECfh^cso-vYMd!W#YeQoRmQ&bCMgo!Yojn#0M*@qC{< zI7>`kUq`;I?z0_R`c9yxo=SLbkcBKhcc-q%i?eN$%49lXA7z)l&*)C7&&VRnVU28b z0M;-U4qOT#T|QT8NLW0VueV<=A_+8uS^N3qi@hp>+9b23?r33Z;zYsPui7ng~=)1))4S+dU?$Q-CqmDZk4h{L(n{gvt$BW9HQ zml6tg!V@Yrp69bU6p?6%-=o?u#E5H%Cpt6p0zSNVd@0Jk>g(TSy{ub*^4UD$Yk^fX zh8a%b#V$htTbXIDzjEnMVzSwI^)ff0MNd>Mi##$%XQ+iR@u^If{IT+hs|9aXsT2zv zY=GiqTHP2y>%I6%GC56l2A8DncDHS!1g)Pgo2fY}EH68(VD?w@8D)o>fP*t4Kuumf zk*VWF!%(&Hnei)fx1nyX8HHbk2$D}1Ok~sawU_Y?)W@wEUoa0C{5to@k62i7yome; z08M;}vm}=^`#pg_hY^rlgKSc^Sk&%>i}kKQHuYkNz~ZMbusL?`A8)c5t9%o-Q`DKK zfqa((N97Z1-I#vpuxE^KuG7$v`yOyaNdb(yES0MR8UE+QRPuTT74<8n9uj!4&rxGU zzmVS=4vyFhQnK~&OK%fMpPkY)j9?k9Knm-aGb;`7*EmfjGH3Hb`D~K;YHpp*f zZ@Qn1^OZa&6$CVln9?x#aFwgpD5vX!$*=>#t(5%|=1~U1t{-GjMa+*$YLf}XNkYj6 z6x6xUCA?8h#EJ4@&ofKmxF+;R>{uSe##KAqBjza^y`Gfa2 zM8S+%VVg6yYN_{IHB^>Q-_RWCpAF54?d+o6Z99XC7H!&epNwGDtVz^bllz_sfk;bX z?xyR>6{*csb+JM;ch^+cRPrT&1m3fi!x}UiIke3_d?_vJ&lbmrSzk9_C($E$+mHAk z|Cbi>pKiVBl)j3Q=}0M7aAl8MdiSZprYEzhPKWALSnI_!pAhFoMK0hiN#Psmaap}6 zdJ5x~rs673{1A#|7W+B&Mjl+@?dO*fk*(QzTZYmzlviVEDGcAFZ$$-9eQq*bV_HbRD9#8J&uM}x>~2IA#dU~ee6na5>(j=uM5aOr8;++1Arn zEhyQ!bjmoiFs z1b3vT(RtP+U3@90c6l>h&`CE`40?mm?c`sTejL#*^K{d3!e^JKUbbSiD-xBQWZBFw zrqqn?A4LNBPuZaCVERbdO)C7sR{K)hr}Hpatd8j;oMJ})I=H#&;Rc0BBi>~;{rs-N zk=k%G(J_45kox;LGU~jWu=t_|}mfDbsNmJ{u`NUOvN~8e&x-Ra+8iVN@=F zOvsJCe7C@9D9yEAxrn03*d!diG`G8FOJtd{e+4z3I*(GFKvRknUNIu?Z@rjvDTerM z%=m<`{vd^TUdDLj%2DoZPvd1TEYrsP^Z+b2QAI=AcWgO>P4vPZMDe?r@(W4Sj&2c# z-Eop0wzm$ps%Q@g_2NbOFz=~C_QuvFM~ooxp(Ggu{17i~9w8#Zsf)i=6*(iA5fW%O zEQ44ZX}fwdC1aQL#qqAXoqordc}JnWC${_Uci6~mXAqC=Fqt=&-`a_Soxqo!yJMsc zZ~Leov0Anl1}9Pd=H7$f6>uMAfghy9Sc)SU(u;bX!p$16aC&&~jGR>6f#tFrN>41x zt}25|)nMLcQnF4w_Srv)td{bfJ> zMQ%c$Iqkl0#j)_5DA8hFXozQxJz&aX+c-&OA+yq=?Yn@E8S;O|br7!f zkBuT$-Le9Q5UR5>vt3Ow&L#z7MJbxQ1KpE#4)3o+)V#LR(KH1KCWw9Ob`rj0YReDCTjI+_yYIi z!T@)+;^^tyzkD!h)bUVISe&%fUk&-E&jd`qR@Yy)aaET~_#xt3Qx9T_BO@Kp6*&+4 zQlR;VAM5tZ=XfwOZM&pPn{dXd?~v?4oA=J85wj)x9wGQ^VjOhWq!hqm?;5DA%SE-^ zdk{?kbrN2c6xNKjapB`B$m#T)zfIW?-Ia1&7GqYQyzrl;`q=_Yvn0rlZTSgqJ8HH5 zhB+E$h>Qvf0VVRiGTf`mzOtlLU~!^AEUy{e5cSURdr-I}o7OM2pH1F&PRz{2`_8#3 zSnKaxnr$?A%N=Nv#`m*_G+a1;8sSwRkl3XYtU~X@>S7*tJq4K=dzIA2?~J`Aw7V4x z_u2E`lZ+T2G8)UlGW~oo18s;m^r}+3Wh;%(_aPrzINxZ}i8v|D4K1_Czys1N zcrXurlc$jQlDxJ9VbmoTIV8Htv+e{m;w$L=Ze?FHLHJUG)xNKKj(-(fRX9^Wtb3xg zsjrP@o+Z1UQJ_=H9{-@k6L^55QSe*a^I2rXZVot(F1%bzge`>Jdq-05)ILn&8(7C@ z=IXLFMjk!smhMoG`rzca(|fSPGTikmEDV(RqT~Ifr{iv;9VBmkWSI<=hAPh0`h+)D zWvDF?VjrasLArpf44ec}#IFL#z@Acg8{odDeefJa1VsQYV zdmjJ*!2lqA6$&*dPl5slMW^KV1Re?iNHp}x)EVw^OQH58Ox@^gddpwX>Ho4|a9{)I z!amdk7{C@IL$?6{!o!xq1j+bNRyc@1LO&dVr!g6aLHx!R4Kc&V80zX9>AHhVkj4h_ z1RGa(A_;E;od)5FG!6~#el$Wq(CqsPjL{Ed>+5aQ0Y3l|Ky0uVzW@L#A@ZG2h+hE! zsQCXT&36UhI^ueR4FzB!8}*wAOok27niRo_j{nab@Nq&x7wEu&I2fBsb*ED$t(B?p zAStBnvvsS{yzH6j_q!*?{w&JN&Y#GlWTCRMf~XuS)h(GpMIISN>YS0v?qYsR=@_)b zAkz*g;rx_g-BfPxcCO@a7N%E41XvFeO+%ckIZ0GZru8bPRBuvjla)2N{^ArvxxFaY zXRfWyO*T`NzFlMFc@{BLq<_DyHbvDIWgye2VyvB0u0ECGG@)zIZ>0Z1&he3hGtOmF zRd!Vp65j#A5^tdFPeHHVo6-rt!(#GDtNQd{@ zG;-qX{rnwcd|3LYNAJyFIge}dh>DDmSUc8K;Zk!+eATmd9H>bOE!f$2+nh0CX&v@l z;=&H>oG11zCZzRhh6_h>>%VkM;pH= z{mUemAX5`+PR1vD?mMPO&(+HOD3eM1d6!+Uag7cOA*I5oqlD8++x?7ehVr2e;~3*!PDTuUO}~wN|{MN>)Ph<#y(BYgBnMcN_C1KLMXhL6DmCC0Kc(h@;~8f zgXsbGuRwa{b?c0FpNf}`DXw5~XM&gaf;wXh>c-dToxf;QuJJ1c%@VwwtNRb-N)Ls~ z_p5Lw>2VQ5odcynVQr!7Sl++bn{BvzKD5x)RCnwC(zM0nNxXhz; zT00l;!m(l{$#QRtY57&|J8g&4?=|619>6+x7tA}9NDm%HvL~1m1x%mg z+bC3ov84kM6k&Z|lUa)n-<&imv(r949p7c9HN~y*QHbqJvfiJvCbewFaVR^c?z33- zL5a}y=H2SWW~sByf+0=26YIxm*x7bU57$ufz_74~q6E*nWh}YpaN-s|fj_zB;N@qR z?HWi*omFQmxUC@9aG+g3Iv-T6#?e$p(?j~%(>A_`)QP|}@$R>rOLLK#h8aew0!&kS zn&Ia)l>MtKwrwXdLVOaa@PQ6qrjHM?h^DJ%>*44f0)3&$#nZIfoApW|JK>2;#Rle| zQoqdHe$rvob%vzYkUbOBHO|@h+ZDoY;bQ7nTfL`(YW2Gp=yj6o@#I-EBLQQfX^@?# zF;a7vYnEYAi$&3p=Z;dSg`v)(%5Z3jXy9Jw;E+rv3Rc23&K1tQBAmJ&iGQP zt=vdaB^sQ}HlXdOI;xp+Y>cGWGpsmiJ{>f&n9oB2}-qY1W!C36YKI-(OT76j4* zb(sowKaE!*1{QI5+L(92mxz!>bN~FuG1&c)0#R<#qET@+agNLXjP~0oqcH-SV%i{u=#O>M-O6vf_u{2 zyW;hwV@s=|;ARd5$lT=Q--$?z4BLNgY~+IIMBq&8bx~_W<{JH+Qv z#@&kbn{OK9-bv?$+V&^fTq!Sg$vP9@J>o|irn&IC`eg>}bXio^uF|F(ZkM+@mZ=v5 zJy~F(uE0RU>sl^3d5c|z>oRTc{3V%3hofBX2HPgghkdYff1B^a*Y-x^n9Xs)D|1nC z1!%92GRp0q0e-bVTUw=+U_Pa43G`oMOlRj7Vs!ZFUiCNcf9iK!C@M;IoIw(i2H; z!96Mdsp`7CMOe4iwiZMFUWvQ_Nui2dU^rs=$WBh{?@_I@<}EL3zxK;nvDlcI_67f$ zZGW^319evd_h|P?lliWnRhMvsMWJJ!W20Ep?CJf7_;3@13J?pxB^EHvq{m+FM z`x{1sa%E2mYd5=H>%A>A$}a!oZHGX+^pH!=u#42B2-czyhYU*%{6r7lT2yzOViM!< z>|<56C_#-k$fbz<^c6I0@rP; z@zAfoQaluxhz&tJ6hJmAHiREE6hPn`zAK(Z{tqXH%96xPW&X`nJS0KZ^`n?VJf*M3 I8sz|g11k07qW}N^ diff --git a/OCDB/ACORDE/Calib/RecoParam/Run0_999999999_v0_s0.root b/OCDB/ACORDE/Calib/RecoParam/Run0_999999999_v0_s0.root new file mode 100644 index 0000000000000000000000000000000000000000..003374b929fb48542071dfbe76319166b25ad77f GIT binary patch literal 2865 zcmcImdoJvcZF0JshS z0QdsHemwvXt>otk`~dM|Qs|$FKqCO`vjRZFcdj??TbF#DEzAAejjL_z{MY~GfTV!k zM%hL^FP4Byd!6~e0f4ZJ4JC+7K%HTb=?oN=M5hF!Xyl77^l(}riWEfgA)_pVD9(J0 zD97ViE0pDNM`x@x>Nx+&8|6&)3C5G?Br3`|oQC!?{@3w}KzlLJy7XOUe_?Atc$W=7 zmia{L_%X?kJyrnl)3^U7707B=5zzQvy}_;`porc2{d~TBrq;ADdendBfV;g27zB)i zfJhL7NIW{+A#%;4L+DpA2;|oHJHv8AQ>C*r9!>W7LS!#mO$Bf zX(U$7K5INUoZN+pOw3ncsUFGd(& z&Mh$D0prWr?PeDQx0c&(9qOWt{X<8GdPbsH;Bw#F(F%n~4&1a{KI3rtsv&h;%J4Pe z!obw>TJ@#|OCE1m?&6YafeR^@kdk^=;Yw35Ql+U3vEZ(VeoXzcZ{8$ZaRNQIvQoX1 zzy7^Fck7+yayRi@vHr5u)N*e$xVUl0LbqKn=)pv84DM7}Tr9#j2@tgTd z4mUCcf|FjwHn(-P$k{)5Du(oX0EsH7MVOg6e#{&u3cEq`%bXK4^<#8j58)M_*H18) zufS>z63<7Oy#U*p4(m%x8!z-@uo+h8>N%y%I<*?$#K!W~uJh{WTA(40=%;MvGNq3g ztSG3>S#@J3C?3Sav#{82H4aeQ)8mFKwzFhhSGfJ$Gm1ik&7hF1X#FTjHCpkr-30TX zq*#AhxiqZe18fySX*{3WYJM+zoP1-mL(Wh@&FMM~cXMD$=`&OAVQ_-aW_WZzuTLj) z-rpe|93OJn3qLA7S!P~~pL;Fi>iNUCg?p%1Lt-?-#dvtc>`_>W=kwg33eWvktu=ah z>b&vs+~gWXY~q-7E{_cvZb@fefKrBAFUQ`s$z%g-Bbfe)3K8s^qarU_)!{TgurM~d=E3^=4zf)0#SU357lpOwoKV$J zRlOvCg8+Cy*H~x-2g(&P0c+1~m{B65Ptc=^C9^x@7K>FjH_}x%ov;hdhpx)#&2jJeGJxpZQTI*@?YW8)pTFaP}_Y$TY6BB>5 z#3;`Mf(>(1sb!@&e|l5#Ua@KXh1FnJlkt#WtB>)Rr>A3Bkrc5IdVN0rHsf<>1WdoAV;Wm!DPn^bK z-3|-?#~@8hd_w~1mdz(9RF^-B#^u!M?Ps(k-n5LL0N1dnp867}!eZhZ?iwD-SM3zI z>hS4oW^*{s234;5403=_6e zzT(8|5u>fQ4?bI*A(ORAugA4=2JUC%sIQGQ;JPD<4G(!(QySEvtH6d)=Y zxNAWT6UNGq-g_QOK*}gLWA3h@QknNEp-WK@ztglma&Jf&Ze_mwe3dwpK7MBU%h}aV zAEvS|Tk?SLYp>tGK3+W=vyg~#d#Sgf;B*UxAavFbA{eh8^ANcN%`9smD0-DB-_u^! zAEmg19a?TC6kXR}eZ13>DrsO!o7>O+bWDmKaMB9|hC}H!@i-k>+;~!TKghI5%+07i z-7mviU$49SN~hRl-Ox5K1IPJpeN7glqbV|SQB5?i1fK>ye_Aul-6y8NRB)fd%cp}W zif>acSN1HyB0`PweVh8iyu4=8pD05 z%Ggf&t&clT3qS3!l85Kpv|JqP^f67!ayM@gs4q&xHT2+BuT#=au8ls^9vq_OndS+rwpY_f^%V zw~uTJ_RSx-^TbtEEyu0(BkOZZC;Zj{N~s?Z?jBB1rw)rt@Gj|uN+azdEkcc=PX@cG z3I_9Aj>k0H%a$^0us9f6Yzmf9y+Fd&K-oX5&wsV=k}OwTT-@$@gBgv2Ogbqk#3IDE zl?4`_`9GX0Su`pbcxhUu_3+5ISme(|G3`#FwDwD+S$E9i7KQ z+>QE7*s(~8$>^Q2_L3#92MHs$L#I`26@T3^pJP|O6|ZU}+e9waRWP(krPJP$i=SlL zFuku%;$xbT6J#jne!zJ0D(a&0)W*n~e+)6_m(TU+OOKjIrZ5wSm3KP&qb zfTFj7CYYr<7+S`n*L*kgHh)*v?YT0{-Ui)sWqjYVw?Y46SH}Nj*YNzm7KmS#u!mUm X--!3zDu3ty)rk3c_v>9ek^%e)?9aTH literal 0 HcmV?d00001 diff --git a/OCDB/ACORDE/Config/Efficiency/Run0_9999999_v0_s0.root b/OCDB/ACORDE/Config/Efficiency/Run0_9999999_v0_s0.root new file mode 100644 index 0000000000000000000000000000000000000000..de5bae3d4ce837dc36c398d0d91b55c3d9524232 GIT binary patch literal 5377 zcmcIoWmME(w;mdil192y7(y7*p`~UhNgaA%VCZg%p%Lkjl12pyMM6p#=}v(^osuI8 z((mZ|;l1DPy&vAQ)>*&3);W8h=XusX>vz`U>E`AQ01QC@0Dv6;K#Kza&;(;+6n0`` zzzqJs1Ke%^;2seG=jxh*ocQ?OQWpIUN7D25Bkbz`W#CW&{uIgr^{es$g8o*s5pJTc_I^g5KCaH9)<}e{y{HlrVSvRb3RO{67FAM#8mOv? zs<^qr5e}kia5%yiVee`iAZp;_3bd5@_ptN@T6zIRJpVBJFO~)n{9*H#5dct+B{PGa ze^~(l)C2#UR5-eS6u}Swn=kQ45ggw?`FF8=u}sxmy*&f|XACgcA-;zL2*(Hb<9JzF zRoPqNE3;eS+7J=KCvU+k*=f5w`)nI)-}AE5ayC*;Q;AbkZLB=4tbpMND?%qqLZR3* zKVJ{ekx}hI9Y0;|ZeF-$?eB}nUpk8z3Ap(2nHd(dmu({}K@ zG~u3bCzvM>lWGJRXBXa1Q&J0n; zz$pp6KBytU-j^UYh?es339**0Hh~ia@&u4|hlD+bzjTvc031y0UB!+kF{TghB>lm} z004lfx3R5@?M$qgQvYr*@E-;ld=wC!JrPV$hcw)`~v;&QA0(?ZGQ zGZufT`+7AnBPfhpbGWt*sixi)oA_GmN~!i~i=kvW@ct2%wV&aY9frp5jqvcEvI-v0 zaBtd<%Bew9%cfZuM`6usxnV}5Gm@C&tG?N&yPE~!R>*Q2W4K?Ap$|vR`VGJH4u)G< z#jJ^E!!W>-fE#a>H+ykH<*-y1C#{M2%?)g+zsO+oD+utTXYgUJeFuV*| z3KIjMnb2pk$t7K_O>TE`+iBs8&D@<}4+36vp0KNVeH0_J)&fN-hr)$aL7!(tLAl+A zYK@N;aozLzkK7f%ewq62DNmwIw>V0-j+8Fd7JLU@Y`%`3I*P2kjlQrpGLUJh7+5LZ zW75(?H(j3m*}8F0Jq5cu`vhZe z?jp9=`SA7Wz~_0{ta?W<)f^ZvSNqIbAkmtl5*NkW?h_NX1k$etfJEmVWu z!kHMmGor^yYN2ZlhAL>ujDE;8R);%HyF~U?NPLMX)jv|rw~i#{oHxWGH?$gG zVw{%qrY<}k2N9q{X0CejcBq0&laf;_*!kx4(W*kC;3HJImEjG>SSr8t#V@vaA1mkx z8SQrQ&gXSATkjNg{A%H8n@L@7Zz0{0UFF3ZA;t_d^?}}8o%-YyI+j@lb$Uc+^^M{R zEO}Ln)DQJ!&4|i1ir7LbV!_y5^`FzY^6)0Ro2OJ7M=*D`~q`}pV+^EThV_7!ekV}?zjc5t=?yPsYwbw9Puc3!3* z>b0qxjv|~7rdv4CtV^0ZQLlAccugB(Wdjck44l}GG2DNdkqFL|PGTqFS(KQaKh}hs zKlO07B%GDL2A^xP#Su}G=(_nwuxXK7WfQu86Dt|+rM;mGX*W53C3f{7+Qj#wpZn^i zu96UQCzp_JTN%4{bJWJD!GUQs3DW+XpP!Aeilc0m0Q#N4GRs?2)3-<#p^KE&fnVk* z-u}!@B(lDBn%?vQ;alJwVPptUbFK*YRR}kVZmFtkADnwh7@e_BTsGACUd(-90NuIJ zYuFh-)Gwqg_Q9dN@orav)`U#y&SF7G(F53Rte*`o3vcZZKBVGamY9Ul#{m`0v!#cN zxoGP0?-%;2FvCK-Q{35l{{BX?Fsw?U2iVoIQ zha~f~vefmzeRllp5rap6$Ad|YpG9cePp3Te6Pz_?iFK?8O_^Vacew)Fwi)*M_(^@K z1A74t;>lCAXKXv2AwLGt&?~bXI)QlHj-=C-_TLV*a_ErayDTI^TQ}H0*`$2|OyCH2 z@@|OuS?_-N=yC^fAim8#?&lZjc$gh8A9P8f4QpB2gH}w`??X$)i%DGEuS_Nw!rdLA z>ZhEu1x-<4)OM(*qkmvVvt-89xV{C7Z>RzTGP35??3Ji?8BgZT5i=KeND;3glZ4MW zCeH9xfHefZHuFl#SH;R_F!lEurKk-`Tcs-v#YC-rQhbaD%?% z(M3^S5QyAIbD^}2V zb4eY>ogKM0>8P7_vtG??clSBlzUguQwA|(v-t~qn@b#QSvoXQoo$6(U8aPA1p)`=L z)W*|tC8Z+m1M~ZMX}0SN<_l)^5ciTCiS}4773o3>Dp!lfQ1EJjrxEoSw?{P5DYz>d2pz(5x=M zlj}j@wjQ+DSNM)b@aUa~&!5mdm4i$BYmhrJ;{l5N!gGSE$z(3&8GJuRc0y943W=mFxS{xjd`8WDwFxiwtk5!mpo{Y?sW4fu7$Utv67muM7tlI zOaUR@y>~V-)izcB;yv+9>Y3pRAPdek2LebAFB&As1YTUo^rttbFNT?n4>yh-(;Pe2 za#qc18qb;IEODaJ#?$7^KNMI6BLQm(0tlB!d=-TXauPwO-yAlF_PwBC$IXcPTf6PR zfApSfc`T-V7(ar(u%?U<;egAl;*gAg|G~xXJynzDS^7Kmo~x%-2z+4c_8Etc!=5y<4cxLF|wihP%p68zn40M4|HiFICV!d*taeXc+}zV~X{v=t-%} z@DRj+3VM04`J7-}1@ZC=^7?HH=jJosO^ue$_>Svek%Lohp`wlh^nP~&mk%`%l3zBj z4=@g5Ekh9OJ)kD@Y$D%7s~gM-673`mrZIZ$u(+4^2D&V=M5{a;^W7c zuVkI`PswIlJ~UK7ltpsZE>1B=d;Yw5&#{8J~GK78E-c7vlTG&Q6V2i zPuY(knahZKE?aM!NNv4GOAPpD>k|3ncX{#!Ru9wo=s>x4vauy}%l601 z%?}x^duXnG)BxwILq|JkJGM~zWoL7z9! z)#4#L36X$nM|u2=ji3I+Y!GrB96lEV$fN$CjLG1!ClJ#G2X}~C(s!AF>RKRA0zKVG zp3F()mqPvD5_hh?d`<*o(l<)l4XGwwy7%aB4aKhA>WLe3ZqQOcM@N9y4VuA1PVOOE z#t)bmx^`nJ&0RoCiXi=X2RCui!rV6RnXA-Q*)1jHf*dbo>@;YK`A4Hox*cUseB%#r z^L`Wj8}U%21tumm9GEQr$YQ%H=iH7-gU^K#y|DcBlDcbB!i&)@#jZFF)n zF<{z518%x`X0=-9r?#t4m)Ij1UU%yHX;2K(tFXl(RfXSur=54e{Rn9F%(tW_adY?u z72--R%6~g(TM;$VZ#A;=Ks>v`oae`_6>wF)u1km2BS&*&rWzfAY~jwp(y6q8_8O7noDb(GUZ9hCclW^<5BiC3rL~V_cq{B=fTfn`wCaq z+ZAt|X2|kT)^UpaF(W>!>cMv=a>-jCJVpt)leleW{8}$^X!hZ9vdwJxjbAkDX3gyw zg&90CE6-SRFlwyfQUBLvlm3s(hV`NT s+93aBmkoQ!9|PJxmAu3oy{EX#fBK literal 0 HcmV?d00001 diff --git a/OCDB/ACORDE/Config/ModulesActivity/Run0_9999999_v0_s0.root b/OCDB/ACORDE/Config/ModulesActivity/Run0_9999999_v0_s0.root new file mode 100644 index 0000000000000000000000000000000000000000..f774fc041b1e8e3672c83894b9ab961564a9a1f0 GIT binary patch literal 5557 zcmch5Wl&sEv+W=OLXZR-2<{pfBsc^o=wQL!2L_+P-DQB_65L6G6Es+0g1ZI?u0bax z5d4ySKkoha-uv{ce&K@0vH|Kx%B17~?>n7hya^Z|ydICW@%U`&8F z+L3k_52iE;O>@zN@jwzAh&i5Cnqlj+gr7SDHxNjl{KUYk0eW0NymB1FXg`A+Q&6f^ zG21xf5FeSIeqrmpDRYCd;bgmd`1!xs7$+-RH>j3SVh1e==D?=lQ0_wI=B`#-%wfY_+-Peq2L&7jyU zyyewaAhUoX&fab$BVw#Wrh3<;y0pO~GsYz};pLEtkU^%ONUFphWoxpX0U4KIf1$Vl zliP5#QBXSuKm0{qUsgv@@Q-N$D)X8ftA<0_ECG9#FGSd%Y{1#{;^SMsta*;lVJjh2 zQ^f)Po9+xP5~0tGONd8*6Xl26YPQ!jk1d$)5!kQ_YTtjaRGqE)IF)GnSh)xhSjXAa z^}Xc1+WY6Xx=vEq_4)xqm43eUv_BjZ7Gy@=jCR`(HlB`V`+ReI&AilfC*UpMG1b=%kY zJ1(}P#q+V?!pS$*{^(putwR51=%Lwy8ULCs^|#r7CktTxNJkao0mIXVx!YJc*|;k? z+qw|Rxxg)BpQl_T!fxs_vx7nmuxueD`#c%MIj$%%->eiHjF8Jh=TE%r{61Ye^-O0z^NNl;2tK>pobr^|Ul`)>Otb#VoSrKih@?XJ+ORp(`= zOJtk(PKKPiHD;^=FqOD%qVq~3b;FlLUjd1mF1`f(bFSC-mb)*^evHR@Qux)b=#z*` zULNalk9qjcjrX<*| z0Sqz1`f@e8BBG(`>IT(S)nujPt2p#Kn(gTrXC4PUMUTF+eIcp+@jLXyJBf4xCsw8x z9-(;Z>VVngAT|*$FUA|bSciuU%bSVN_y{@Gh0A+8%Jlvg-z@dc`IIq2A1BO}fh!Ze ztJxL(u#dfvE354(C43_GTCH&1H_b1INolmU11hi36OAZTzLBmqZqpVh13f<>vhdQr zu||=2z2_P|kdeh;9_>%rlRejJY1=mFq0g^gFVjwIa)jd0f7LV?cXcts+6h?gpa}8G z(uUJlZ{D#v?xC1uWX<{(SDi)*Xp?WeCU1sYg38~pz7(J{@P-%Oq8~R+xOO0o#oisl z7drPuyd37V)=Q?)4L0reGvB6KiA~Vc6BN-%9qD6nbNft=zP1zLwbW84R_e=3X~MxaJa7Mr7Vx z-~ZA3RlPonjbb6skeC`0o(nXIPAu+eZ*h5?-AQV@+{)A~<@SgLnZxC5SRYP7rMyH~ zLN9S8m^a`anpbAMC07ks#;FTl{KQoL`?tR5fy{J-{tkV~&WZH3{F3KT!ggWg%t=_q zedLvej+RJU`OsR?0j07AvgP{h@7As3#8S4#c|^s1piAGa=|&)rYuAa&x!;26`DnId zgva(k>w%mYdTD-AmsZqIs}U7MZ8wf$3XaG%a|Jm(PWO9xQ1zcF)ex3j!{vQ2E6o(VH1X7w^m%ng zrV4v|ZUf{wZH$xI(B9}{dV*q4uCOhAfD~{;*95NvaZOsd-u6|Pk9`-d{k4L*0G4GO zUHBeFSl`dPHlpEPu5^_31@`NB`M`|^0?`PKzM!HPRd}n;q_L4$eb1V!!!2?7T<5*} z%2P~iC%0w!!K68{%djs4t2hW;15uT96UMD?5qWLtkuNDH>d0jFdAfJ!Me#cf31)wJw3+cok7*u6>8n z70fM3_)QxNHwTa5lkOJnecm*%f+ZaPAuU3i@crt7a*kpPt#!f( z)!Bj$ttMk3@uOJ|W==YME)i2sJaewE>SiC()XS8JrB zPIcS}?ZL#uc)rgWm?0srts!4h_uh&vc_&a+OC>xzzzRgoY8{peNdwF+ZGC-xr*@;X58tLCq|$}r z>2R5s`R5l;m23@--5kxZ=7nyhE|q9wu%F_pxp;@tDifGzV!3|fEgtPBy(0_g)H{90 zd-Ebv&+}@K>E^APG$&OzBd1zNDV<7d_|~}Ak$xmD)aIL)mnE01y=Wyn@+12y^#^_Z z4^V2(tEBaz--Zz^gX!B)Xnp%EQ2zzi2hajmSO7?Ap#c3|08<3nN@dTXRQ5GiWZEW9 z=}31Cuj|kdvU{mtyE}GdkW+@Y(XOoNNl%{glt{_ma$Z2e3)A~(FH3Z4mf8_a_3~#K zy!@P>hGbDDD=(L`k;G*`t~BLLwezjd(SLa3>U=*z+spery2Kf%VIVLBD(c^34DAs@ z44@<+XBoH2mszP{mB}<7G|Z7tnH*X;_+yZ)G z^X`Luxw1B^7#B^Y>n!^N+B3*MC!5EQ@#odj&-j(r&?vQGj^}k{iV=e<#N|g+Kd>pd zAGfy)ksDMkgPD@BA3g=Q%Yo{Hy)&Sk)wnuO#TC<>D)mJo?-bc|OQziOI-nyBy3*>C zad9}ex}Q?*Ld3Lk-(r0Jc6fY+#`8RO$3jvK@jG;f`518x@kD19Uckrq(3hgzD?Wam zwoAIT$Db|YzvkOSW1Hg@obNFDvzMCX_$inCBmvGK)Jxs4th%GBSrt&(I)hC_iBDuR z6^@jTU9EUCOQc!ZE&C~sr_@c5bY2V1l1Zs@)A*z{H#@E4#Tb3`S}ti30Yy)!aXEMH z9&WN}n>@JsLO; zMH1A_1s&|?%^SG8CJtiC4BMEtS4+9utfI1h5=?uje>ylTw!MRKyX6cjT(E1^eKh>I zYE`1zmfYu92t-z5>29``RG!jERTC>jdwWH7MWs*-i03_BKB&T=mB-lVC6v~p`D}G` zkok4v&07W(Z`&dN!~fEJ-jmJX4w=gs+4kfjMOTiv#dpnhcHJ2bH9Ax$!dlc*d_r93 zoFbAd7@>04tP#0Ka zsy8{>G;vCDYG2DxIj^Lr|L&=;GW$C58iZ0khEXsKE^#xhfM;JO2yWG(G!#|*Qii?w2Uux1&4WSqcsv_t<({hCx^D+``23E=6g9#vZs&|w|#w)FfpvM8&kQ^lFh9Inxbys z)z@j6A?Xva#q^SG!EKo-OrBLq7az*W9o`HVOtN(qgPuT4h{CIqPs7@!FgG11LJkF* zB^xG4p{V2p>qcG?rDk;B2nvh;gx!!siXqbSIt6}juYIBI-Ej~kR>S-WPBE=;71UUH ze~m`0fpD2gJG(81(im-Ict`onUJu<%Nl^&g^JVvn#+o^QN`P{pWZQ4UXCf8G%BDF| zLTvJ-tBWJ8jLQU2@j1~K@8-FTWL~UQETFw+Y7mZIoZZ>AC$>)ByEHVJJd08t$54tB zUN$E0YdN2FDFXYhPkV>3{U8IwE@C`#Rrg~xb zqJ*8yc?G0uhc`&0t~f~#`x{4lRg8P2deMSHnAc1_+o}3k{_U|HGnTrAOfQ$ zxLA{-I>~1x>?{50CvqM7%xUL+3!W8hyjY8Ez7D|_yU(1peFdHRAt_ z?*jEDULB+`=X~o z@FoyADs{YCuZk~VFD?vlYcGzOw)M*!hgO{c4UN@FOa0ZLU)r?)#2a<}B|BGj`S>3q zK2^0K<~ShPSdPe9*q40GKm3nxzI;YVp;EVsJGF_Xoq7*|_u9O-F7-G~S$9amU*lt- z+Xf|nP6yWjW!)E4OFjG1PYs=fS0sfsW9?k{c=EG5U~@Og>!Lf-&?PYz^@(%88LFR6 zmZ{cHvtpZmO0^!g*nYzq2{S@P1%`kU`Cb|AR%TsVQ!27LQ6QIAjjxG&rujW6T$0Rc z7u!xJZaT)Nrx8B0Zi=@0+ZX2RbzbuOnq-JRj*z-@=jLHv^?r#RdcjJ}-p8FRL#`(v za}&?vYQ*+v0ukg!EZlq7Z&xy6Y|wmc?FCFXKF=oAO`36kN-MsM+2>aJH3LK_J@CQj zRrk@aBAaq&n)@{vTC4i%NXBWB>nQ~$joi@>N&eP`w0ZB&u`#-Pjs!WL=1drx_ zdZ5rh8RVZH_@I;hlR^GB^uULc{;F*Mue#eqSnNO8xc?3NpIG{!-u$n?egIAS$?Ih* G;J*Myo+dy5 literal 0 HcmV?d00001 diff --git a/OCDB/ACORDE/Config/Rates/Run0_9999999_v0_s0.root b/OCDB/ACORDE/Config/Rates/Run0_9999999_v0_s0.root new file mode 100644 index 0000000000000000000000000000000000000000..534e5fabe30ffa7b9977699f3ca04e317fd9bc23 GIT binary patch literal 5371 zcmcJTWmHt}x5tMN5a~v`Yk(o7(V-=Wk{FP#fuXx&=n(0UZd8y^B&3897`hSo(J48g zAbrvQi+kVQdtdyXwe~uDt@G@C_V>GjVvZ!xalEONU?(hCz76u;RPoq?c0p&LUpTC{&p9TOt z&{u}RZS@6Tc-ne+3OZYQz+44gY<=}Tyj`3GE#WY0TR}NEO!t09L5PB)yr7%{L|0Ks zP{Gy34rVW?Yw2a{DX8o10y3BU&ocJ`nR|i+JpPFKce(-|{L%1N2LMoWFJb6D{%QdL z$VdJ+gD_0~_<-&3w_fCr4;b)2^^fjV-s@9x@$&HhpE&@kfyaaaaKHxmVR%|ts9IWJ z%QIVGTH)f@o!)_0veR~V_L(-;e&l7R*ZF6RMw=Q)o|yQ%p(li-6)}k^-D#%EJAGpR!6+@&(44!-LoD_^=Ble3DK8 z18091ffp7D2=N6I2TxV349 z&E>*Nyu*vQ<8O5+2(^pAI%VJ#F|dxP(wo~3*Rxk`+imL%rB$?6KCVZ0+v3aC9Ty$0 z(XD=4S&CXV*zwBL>Ex|r9hb7{YXKBS%1Hc7nFZ?KXNJA61$q$t-rf=2F?I|V?d~mhJMR8!VFvl^Z1SbWOa^57fySBknHzaozOQYgpp;^lk1 zqg0OF9I=}mrN<(bq=h2q>j{vEf~hF&_poCFZzVq``JP`g>*aoVpR$Hn5+s0G`0}ya zI-Rli`*@0hIjtmg-ed7sn#HRD8G)hfs>5~da3$sL*u-MBYq>hpRz1-Q(BmUAOJBWf z8#IOQTj21XyaE=-a9`Sv!kKP!>!xuxOJVJ5g6GEbV z_PNiN_sW}RDGz^f9R9NLsA4gSd`iMkbZPHF4TVo*Buo^6b_=gJnMI93VF zkvp`A-jmx_vcVo;Cfep4_j!_1$XX+jRFrmaXbFD}!Lkcwd^Aok>F3%=D|}(MS-;-O zw3l|{n+hTj%DnhRudn^fc!~JNQtFv6**3mM6|`nXKcO3|$DF2IBK*cDyo8(ThfwmV z$B((-3^vIPslgT*r)0gY4^PK{`D+kD6;Iy{RkEp)u&M<)-JU&Ll}+S*7FA)PcZ)U< z%P)KNiz(jQ0y08Kxm~jJW!>1?D@7T*1~_dssp;j#r#Z4KzgWvhn_;Ys>dVz=NKT<* zn3YwghIQ54K3y?OUR5LTje4=BPv#PdXCfA{VBn_s&skhWc(eGr_ik-bC2y&v?kaJV z#&l7;Zj-6F%)vA-2Ol#bP|{37NKfJk#|5>dkck8b#9XE=U=|-fyUhAenPHn0uqE0_ zzcyirX>aWQ^?aMxKSe=P_km_X%WosT?2Twm9lgYKKNodk-sXp5A7INGz1c+64#pO5 zkLitEkEwOG(=zo?pH=;IB+h&g)xxQ2ebU^ia-HKsF=eoYm0dtUz{GZp-u~;1L~y2f z5;H!>qR8z0v8o-^)ZNJ(XIA_Me4)w|hf9L5>FO83q()?sjpO!RsC2lG@|G&N!|?cx z&^3Rwq0i+2`}J#0IX?O>Ha^Yva%T0G$c<6m1EXksxb1geUn`)3gH$yS@;%Qo!#g9R zcW?&2%am2rFK86!K;|YK-q1EpZN!iB4m5{@2nMOn6=A*!W{;v;s_xzg=U(ANXRPCu z4|RPIazmkzT?>7BUGYN$eDXpc?JJrdbr+~jNS5s^76cdZo886wT46G9)(v56Rgz{2 ziST_wDWG32Jz2~}l2`n=)KN6kE3`So{NabM|IZP|PQjPq1-=k%V^I{Sq;H2UqFX$% zA1wOKW&YQ|tId}W@rjWmn)(;;N&T3|!im0w&(CK+Ooz+*UL=C8uS02#+z@-&< z*w!XaV_dTcVNb!mKML*_{k3~Lr__qpqgsMhm+Uhd4Cl#06I7GU*@}LDyGzyxy5vxjg!%qNp|5B0=$0IdGvJbw9%#(kiZe^TXV5t8!r83*+QYwdYrJ>x-h=2yI3 zwt)6+ntd*A0w3~#K0u>z@)YGc(@t0L2?`0hHqN2qiO1|rI$P=ZZC@vi3@&-ZfX}ye zd;cYyv@I~>Il`QL6fAt+w_h>3+=&-}ZAHo+cA1Wa-tqKCmKItumZ$Nzp(B4Enm@gq z#KinYXNbnx-QlY-Wt}Z(js!<-hp0OE1!S~{W=xIim_%_6RiY*IEjd;DL~5MJlR0yQ zpu+Yk!nK5=b~6r%GhCHm6`pS`oT4(-u`(HS1AY1_N`vAS>2iZ{?F5g%vLW>5w|}TH zj@HRQb?13Es5?*J-kR^E5PYM_O#|VWM2%}3zrV=SY)~?A#beuq5LPLEMR=Bj@ay{7 zG>|jHPxYup6P`k;`&8VMBcu0f&f`P7?4-2JJ{y{)4I^jFRBb?)BJH%pW^n_|H-VKS zK#I^VAbg-tsG$G$iaeA(8?iR&pqX~NUPEv5D2!>}=y+gSdUFfwX2S(kJZIlx@L=#^ z&9ZE*9gY8?IEbpu%ENpmr84a!{fBsQrkhLpOM2x}K$6h$;$96FlM>ci53!ss;}`3L zz3gvmFJ7~vgKhUkeGn8Zo%j7mfRkl`RiWAx-Ge285ERp3B1rAc3ZT`eG z@!~a*Q_>Xa@THP0z`-JYZxvHxRqZF-8&9X487?bf!kT9PKr4q6DZxtzUR=oZqc)%} zF*6(=ZW=qLICiLGt)5jin1f<0u|}nhr_Dh>7FYzq0c#07Fz07nm4&j>B7tY$?Kg+^ zJt3jTEwF|=o9%#qw8PZg7t=nDA3i@3TF;N4XP7*_+cMf{zdVcMDq0%2XK6%qlDqn_v ztiHR#AlFWGoys`9sf06{tY!Jd_)lM z-n4MrLb=+~Xlv67QFKyX?D?Bnpou*HO4S_3bM{7w*!k(LZ3l9j)SV0H%kk3~jZrMs z1c^mcn%?HKY1a}+!0M!5IQI`Kh}U_XXPy$>_QnKJ&g=qn+=(Y(u8}?#(z@vY3^g-E z+({94a1<0#YVKbnO*#{vdOBP?IcQ+rA+<{8lo7t;MZ68o^Kje{nSs{|NbfzO^cke2 zEYN^>y*heQEHgY9hEhN-54K!97*~M3{tCZ&*UGy2l5k{lfH;Y@2S=r^4+bn%=n!?Omoj)SdOr<6KdZ+a!bGGt;R>DOVzi0 zfoo-rWcRNY4McrpeXl6d59S>)a3~=O z^=<+|V$w%z4QqshcM=eQ8wVNejE$ducuZPE7#Lj6x?0Z$0y8Fq#$IR{E!ewhDJA`o z^slJ{aU@VvjpRw5BEA;t{1$n5{q;*C7@fXR+M!1_>D;qNeWxdMMp88;b$h>)j1b7bShlVw8({J(<}1sNfA$4*A(-* z`PSpH>-N#f$wdEYcNIIM&2x*@dS9ho9je4$-thV}m(PPjT79xxEMnEzJr6rLQEo>d zin!#BHkH@Q$9KM@E}GDF+jF)#R50}PVC zGPppE$wZ&vW_KtQuRhR{3~`Jxf}mYNw#Lq47%~?@=@SP=L=;&`Z+}0tuQ4OP2Oc$l zNt^gz4Dy$>-BYQ*806n0ZTJ2EkgWeN+qy3c`#UWDe-HZ?KHf8%e{tCRQ7Nu`pQHo+ E3&D-Ma{vGU literal 0 HcmV?d00001 -- 2.39.3